Megválaszolatlan hozzászólások | Aktív témák Pontos idő: szomb. nov. 16, 2024 5:25



Hozzászólás a témához  [ 915 hozzászólás ]  Oldal Előző  1, 2, 3, 4, 5 ... 19  Következő
FPGA programozás 
Szerző Üzenet
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
Sziasztok!
Tudnátok abban segíteni, hogy kód végén a with-es szerkezettel mi a baj?
Illetve a kódot, hogyan tudnám szimulálni. A lényeges kérdések a működés helyessége illetve a végrehajtási idők lennének. A blokkhoz kívülről csatlakozó rom tartalmakon még dolgozok.

Kód:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity blokk is
 Port(
       ROM1_Adat, ROM2_Adat:  in std_logic_vector(255 downto 0);
      Reset,Clk         :  in std_logic;
      
      ROM1_Cim,ROM2_Cim   :  out std_logic_vector(4 downto 0);
      Minta_cim         :  out std_logic_vector(4 downto 0);
      Kijelzo          :  out std_logic_vector(6 downto 0)
      
    );
end entity;


Architecture behavior of blokk is
Signal ROM1_Cim_tmp,ROM2_Cim_tmp, SRAM_Cim_tmp : std_logic_vector(4 downto 0);
Variable Hamming : std_logic_vector(255 downto 0); --A vectorban tárolt egyesek értéke adja meg a 2 vector Hamming távolságát
Variable Hamming_eredmeny     : STD_LOGIC_VECTOR (8 downto 0);
Variable Hamming_min             : STD_LOGIC_VECTOR (8 downto 0);
Signal   Minta_cim_tmp           : std_logic_vector (4 downto 0);   
Begin
  ROM1_Cim<=ROM1_Cim_tmp;
  ROM2_Cim<=ROM2_Cim_tmp;
Process(Reset,Clk)
Begin 
  if (Reset='1') then
   ROM1_Cim_tmp<="00000";
    ROM2_Cim_tmp<="00000";
   Hamming_min:="111111111";
   Hamming_eredmeny:=0;
  elsif (Clk'Event and Clk='1') then
   Hamming := ROM1_Adat xor ROM2_Adat;
   
   for i in 0 to 255 loop
      Hamming_eredmeny :=Hamming_eredmeny + conv_std_logic_vector(Hamming(i),9);
   end loop;
   
   
    if(Hamming_eredmeny < Hamming_min) then               
        Minta_cim_tmp <= Rom2_Cim_tmp;                                 
        Hamming_min := Hamming_eredmeny;
     end if;
       
   
   
    if(ROM2_Cim_tmp<20) then         --Rekeszek címzésének előállítása
      ROM2_Cim_tmp<=ROM2_Cim_tmp + 1;
      Hamming_eredmeny:=0;
    else
      ROM1_Cim_tmp<=ROM1_Cim_tmp + 1;
      ROM2_Cim_tmp<="00000";
      Minta_cim <= Minta_cim_tmp;
      
      With minta_cim_tmp select
         kimenet<= "0000001" WHEN "00000",
                   "1111001" WHEN "00001",
              "0010010" WHEN "00010",
               "0000110" WHEN "00011",
               "1101100" WHEN "00100",
                   "0100100" WHEN "00101",
                   "0100000" WHEN "00110",
                   "0001111" WHEN "00111",
              "0000100"   WHEN "01000",
                   "0000001" WHEN OTHERS;
         
      Hamming_min:="111111111";
    end if;
  end if;
End Process;
End Architecture;   



Üdv!
Tibi


vas. okt. 12, 2008 12:52
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
gtk írta:
Hello !

Vegre egy Altera -s emberke ! :)



Sziasztok!

Csak nagyon kezdő :)

Holnap elárasztalak benneteket a problémáimmal... :)


Tibi


pén. okt. 10, 2008 20:55
Profil Privát üzenet küldése
arany tag

Csatlakozott: szomb. máj. 06, 2006 9:37
Hozzászólások: 131
Hozzászólás 
Hello !

Vegre egy Altera -s emberke ! :)


csüt. okt. 09, 2008 18:18
Profil Privát üzenet küldése YIM
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

Na, ebbe most botlottam bele, VHDL alapismeretek, jegyzet. Egész jónak tűnik, kezdők számára.

http://www.bytestudio.hu/education/VHDLJegyzet.pdf

Üdv. Zoli


szer. okt. 01, 2008 13:20
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia!

Elvileg, igen. Mivel, tudtommal nincs még hazai vhdl oldal. Csak, ahogy tapasztaltam, nem vagyunk túl sokan. De, megpróbálni meg lehet. Van egy fpga magyar oldal (www.fpga.hu/index.php), csak nem nagyon frissül, na meg inkább verilog.

Üdv. Zolu


hétf. szept. 29, 2008 15:34
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Hali !

Már belinkeltem korábban, de hátha elkerülte a figyelmedet és segithed, ha még nem ismered.

https://wiki.sch.bme.hu/pub/Villanyszak/TervezesProgramozhatoEszkozokkel/vhdl.pdf

Üdv. Zoli


Szia!

Köszönöm! Nem ismertem!

Egy ilyen oldalnak lenne létjogosultsága?
http://vhdl.cwi.hu/
A letöltések részt folyamatosan fejlesztem, csak mintának felraktam pár doksit.

Tibi


vas. szept. 28, 2008 20:50
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

Már belinkeltem korábban, de hátha elkerülte a figyelmedet és segithed, ha még nem ismered.

https://wiki.sch.bme.hu/pub/Villanyszak/TervezesProgramozhatoEszkozokkel/vhdl.pdf

Üdv. Zoli


vas. szept. 28, 2008 10:21
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia!

Eleinte, sőt még mostanában is, én is túlbonyolítottam a dolgokat, hiszen fogalmam sem volt a nyelv gyakorlati alkalmazásáról, fogásokról, egyszerűsítésekről. Aztán menet közben jön rá az ember, hogy ezt a bonyolult kódot egszerübben is le lehet irni. Persze, ehhez jó sokat tanulmányoztam, más kész forrásokat, szimuláltam a forrásaimat, módositgattam stb. Lassan, fokozatosan áll rá az ember. Van aminél már fejben látom, hogy mit fog csinálni a sor logikai szinten, van amit csak érzés szerint irok és utána leszimulálom, valóban úgy, olyan sorrendben történik e, ahogy gondoltam.

Üdv. Zoli


A hozzászólást 1 alkalommal szerkesztették, utoljára eltexto vas. szept. 28, 2008 10:22-kor.



vas. szept. 28, 2008 8:29
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Hát, jónak tünik, ha igy akarod, csak pl. a SRAM vezérlés elnagyolt. Nincs WE-nek reset érték adva. Csak egyszer bekapcsolod, aztán úgy is marad. Nem warningol a forditó, hogy constans vagy valami? Miért a xor értéket tárolod? Az még nem a hamming, ha jól tudom. Előbb meg kell számolni a biteket, hogy hány 1-es van benne és azt tárolni. Ehhez ugye elég 9 bit (sajna a 0-256-ig miatt kell az a plusz 1 bit, és nem csak 8 ). Én úgy tudom ez adja a távolságot. Én a forrásban úgy csináltam, hogy csak mindig a kisebb hamming távolságot adó rekesz cimét jegyeztem meg. Tehát a legkissebb Hamming értket adó rekesz indexe lesz a legvégén(Done) a FoundPaternIndex. minél kisebb a Hamming távolság, annál jobban "hasonlít" a referencia mintára a kérdéses minta. Vagy nem ?

Üdv. Zoli

Köszönöm az észrevételeket!
A WE-ben igazad van, megcsinálom SRAM nélkül. (Valamiért még mindig standard logikai egységekben próbálok gondolkozni).

A Hamming távolságot egy másik egységgel akartam kiszámoltatni. Hogy miért? Mert hajlamos vagyok agyonbonyolítani a dolgokat :)

Köszönöm a rengeteg segítséget!

Tibi

U.I. Holnap jelentkezek... :twisted:


szomb. szept. 27, 2008 21:51
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hát, jónak tünik, ha igy akarod, csak pl. a SRAM vezérlés elnagyolt. Nincs WE-nek reset érték adva. Csak egyszer bekapcsolod, aztán úgy is marad. Nem warningol a forditó, hogy constans vagy valami? Miért a xor értéket tárolod? Az még nem a hamming, ha jól tudom. Előbb meg kell számolni a biteket, hogy hány 1-es van benne és azt tárolni. Ehhez ugye elég 9 bit (sajna a 0-256-ig miatt kell az a plusz 1 bit, és nem csak 8 ). Én úgy tudom ez adja a távolságot. Én a forrásban úgy csináltam, hogy csak mindig a kisebb hamming távolságot adó rekesz cimét jegyeztem meg. Tehát a legkissebb Hamming értket adó rekesz indexe lesz a legvégén(Done) a FoundPaternIndex. minél kisebb a Hamming távolság, annál jobban "hasonlít" a referencia mintára a kérdéses minta. Vagy nem ?

Üdv. Zoli


szomb. szept. 27, 2008 21:20
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
Szia!

Generáltam egy kódot. Olvasd át kérlek! Olyan kérdéseim lennének, hogy egyáltalán azt valósítsa meg első ránézésre amit terveztem?
Lehetett -e volna elegánsabban dolgoznom?
Milyen eszeveszett baromságokat követtem el?

Szintaktikailag elvileg helyes... :)




Kód:
--A működés lényege a következő lenne: Van 2 ROM memóriám. Az egyikben azok a bitminták vannak, amelyeket azonosítani szeretnék. (minimum 1 darab minta).
--A másodikban 20 bitminta van tárolva.    A 2 memória tartalmát xor kapcsolatba hozom egymással. (Minden rekeszt minden rekesszel).
--Mindig az aktuális xor eredményét kiküldöm egy sram-nak, ami tárolja ezt.

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity blokk is
 Port(
       ROM1_Adat, ROM2_Adat:  in std_logic_vector(255 downto 0);
      Reset,Clk         :  in std_logic;
      
      ROM1_Cim,ROM2_Cim   :  out std_logic_vector(4 downto 0);
      SRAM_Cim         :  out std_logic_vector(4 downto 0);
      SRAM_WE            :  out std_logic;
      SRAM_Adat         :  out std_logic_vector(255 downto 0)
    );
end entity;


Architecture behavior of blokk is
Signal ROM1_Cim_tmp,ROM2_Cim_tmp, SRAM_Cim_tmp : std_logic_vector(4 downto 0);
Variable Hamming : std_logic_vector(255 downto 0); --A vectorban tárolt egyesek értéke adja meg a 2 vector Hamming távolságát
Begin
  ROM1_Cim<=ROM1_Cim_tmp;
  ROM2_Cim<=ROM2_Cim_tmp;
Process(Reset,Clk)
Begin 
  if (Reset='1') then
   ROM1_Cim_tmp<="00000";
    ROM2_Cim_tmp<="00000";
  elsif (Clk'Event and Clk='1') then
   Hamming := ROM1_Adat xor ROM2_Adat;
   SRAM_WE<='1';                  --Adat kiküldése az SRAM-nak
   SRAM_Cim<=ROM2_Cim_tmp;
   SRAM_ADAT<=Hamming;
    if(ROM2_Cim_tmp<20) then         --Rekeszek címzésének előállítása
      ROM2_Cim_tmp<=ROM2_Cim_tmp + 1;
    else
      ROM1_Cim_tmp<=ROM1_Cim_tmp + 1;
      ROM2_Cim_tmp<="00000";
    end if;
  end if;
End Process;
End Architecture;             


szomb. szept. 27, 2008 20:37
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Mondjuk, ebben az egyszerű esetben zavaró lehet, hiszen egy bittel is lehetne váltani a két állapot között, de úgy ollóztam meglévő forrásomból, + ha később bőviteni kell az állapotokat, akkor ez a kezelhetőbb forma. Az állapotokhoz beszédesebb neveket is lehetne használni, de ez már adott volt és lusta voltam :D .

Üdv. Zoli


szomb. szept. 27, 2008 20:11
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

Az első egy felsorolt tipust definiál, mint a C-ben, csak nem kell használni az enum-ot. A compiler a felsorolásból tudja, hogy az.
A második létrehoz egy signal-t ezzel a tipussal. A felsorolt tipus elemeihez egy-egy bitkombinációt rendel, attól függően milyen FSM enkódolást opciózol a szintézernek(Auto,OneHot,Gray,Sequential....). az ST0,ST1 mögött egy bitkombináció lapul, nekünk nem kell tudni róla. Állapotgépnél(FSM) használatos többnyire. Meg lehetne csinálni konkrét bit_vector-okkal is, csak akkor nehezebb kezelni (megjegyezni) a bitkonstansokat. Az sm_recog állapotáttól függő ágon fog lefutni a process case része.

Üdv. Zoli


szomb. szept. 27, 2008 19:36
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
Szia!

type STATE_TYPE0 is (ST0,ST1);
signal sm_recog : STATE_TYPE0;

Nem teljesen értem, mire szolgál ez az állapotjelzés!

Tibi


szomb. szept. 27, 2008 18:54
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Hali !

Hát, nekem ez a schematic túl komplikált. Szerintem, te is jól járnál ha forrás szinten tudnád irni. Én csak a VHDL forrásban tudok gondolkodni. A schematic-ban is elboldogulnák, de sokkal jobban hozzá vagyok szokva a HDL-hez. Megpróbáltam valamit összeütni forrás szinten, hátha kedvet kapsz hozzá. Nem biztos hogy jó, nincs tesztelve. Ha, ezt schematicban kellett volna lerajzolnom, még holnap is rajzolnám.
Két minta romot használ párhuzamosan. A romok nincsenek példányosítva, a portokon keresztül külsőleg vannak csatolva. Leferdítettem, szintaktikailag helyes, logikailag nem biztos.

Üdv. Zoli


Szia!

Köszönöm a kódot!
Átrágom magam rajta! Természetesen nézőpontot váltok!Az a célom, hogy megtanuljak rendesen programozni, és nem az hogy szépeket rajzoljak. :)

Tibi


szomb. szept. 27, 2008 16:27
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

Hát, nekem ez a schematic túl komplikált. Szerintem, te is jól járnál ha forrás szinten tudnád irni. Én csak a VHDL forrásban tudok gondolkodni. A schematic-ban is elboldogulnák, de sokkal jobban hozzá vagyok szokva a HDL-hez. Megpróbáltam valamit összeütni forrás szinten, hátha kedvet kapsz hozzá. Nem biztos hogy jó, nincs tesztelve. Ha, ezt schematicban kellett volna lerajzolnom, még holnap is rajzolnám.
Két minta romot használ párhuzamosan. A romok nincsenek példányosítva, a portokon keresztül külsőleg vannak csatolva. Leferdítettem, szintaktikailag helyes, logikailag nem biztos.

Kód:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;


entity test1 is
    PORT
    (
      ClkIn                 : in   STD_LOGIC;
      Reset                 : in   STD_LOGIC;
      RecogReq              : in   STD_LOGIC;     
     
      -- A felismerando minta
      InputPattern          : in   STD_LOGIC_VECTOR (255 downto 0);     
     
      -- A referencia ROM1 mintak cimzese
      AddressROM1           : out  STD_LOGIC_VECTOR (3 downto 0);     
           
      -- Referencia minta1 a rom1-bol
      DataFromROM1          : in   STD_LOGIC_VECTOR (255 downto 0);
     
      -- A referencia ROM2 mintak cimzese
      AddressROM2           : out  STD_LOGIC_VECTOR (3 downto 0);           
     
      -- Referencia minta2 a rom2-bol     
      DataFromROM2          : in   STD_LOGIC_VECTOR (255 downto 0);
     
      FoundRom              : out  STD_LOGIC;           
      FoundPaternIndex      : out  STD_LOGIC_VECTOR (3 downto 0);
      Done                  : out  STD_LOGIC     
    );
end test1;



architecture Behavioral of test1 is

  type    STATE_TYPE0  is     (ST0,ST1);
  signal  sm_recog           : STATE_TYPE0;
  signal  Address            : STD_LOGIC_VECTOR (3 downto 0);         
   
begin

  --
  AddressROM1 <= Address;
  AddressROM2 <= Address;

  process(Reset,ClkIn)
 
  variable  Rom1Result    : STD_LOGIC_VECTOR (255 downto 0);
  variable  Rom2Result    : STD_LOGIC_VECTOR (255 downto 0);
  variable  Ham1          : STD_LOGIC_VECTOR (8 downto 0);
  variable  Ham2          : STD_LOGIC_VECTOR (8 downto 0);
  variable  HamResult     : STD_LOGIC_VECTOR (8 downto 0);
   
  begin
    if(Reset = '1') then
      sm_recog       <= ST0; 
      Done           <= '0';
      Ham1           := (others => '1');
      Ham2           := (others => '1');
      HamResult      := (others => '1');     
      Address        <= (others => '0');   
      FoundPaternIndex  <= (others => '1');
    elsif(ClkIn'event AND ClkIn = '1') then
      if(RecogReq = '1') then   
        case sm_recog is
          when ST0 =>
            Done <= '0';
            Rom1Result := InputPattern xor DataFromROM1;
            Rom2Result := InputPattern xor DataFromROM2; 
            -- Ezek csak néhány bit összeadasa, le kell irni mind a 256-ot
            -- Ham1 := conv_std_logic_vector(Rom1Result(0),9) + .......... conv_std_logic_vector(Rom1Result(255),9);   
            -- Ham2 := conv_std_logic_vector(Rom1Result(0),9) + .......... conv_std_logic_vector(Rom1Result(255),9);
            Ham1       := conv_std_logic_vector(Rom1Result(0),9) + conv_std_logic_vector(Rom1Result(1),9) +
                          conv_std_logic_vector(Rom1Result(2),9) + conv_std_logic_vector(Rom1Result(3),9) +
                          conv_std_logic_vector(Rom1Result(4),9) + conv_std_logic_vector(Rom1Result(5),9);
                               
            Ham2       := conv_std_logic_vector(Rom2Result(0),9) + conv_std_logic_vector(Rom2Result(1),9) +
                          conv_std_logic_vector(Rom2Result(2),9) + conv_std_logic_vector(Rom2Result(3),9) +
                          conv_std_logic_vector(Rom2Result(4),9) + conv_std_logic_vector(Rom2Result(5),9);           
            sm_recog <= ST1;               
          when ST1 =>
            Done <= '0';
            if(Ham1 <= Ham2) then             
              if(Ham1 < HamResult) then
                FoundRom <= '0';
                FoundPaternIndex <= Address;                                 
                HamResult := Ham1;
              end if;
            else             
              if(Ham2 < HamResult) then
                FoundRom <= '1';
                FoundPaternIndex <= Address;
                HamResult := Ham2;               
              end if;
            end if;
            if(Address >= 9) then             
              Done <= '1';
            else
              Address <= Address+1;           
              sm_recog <= ST0;
            end if; 
          when others => null;
        end case;
      else       
        Ham1               := (others => '0');
        Ham2               := (others => '0');
        HamResult          := (others => '1');                   
        Address            <= (others => '0');
        Done               <= '0';
        FoundPaternIndex   <= (others => '1');         
        sm_recog           <= ST0;
      end if;
    end if;
  end process;       
end Behavioral;



Üdv. Zoli


szomb. szept. 27, 2008 15:28
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
A másik memória, az a másik 20 mintás ROM pattern akar lenni ?

Üdv. Zoli


Szia!

Igen.

A memóriák nincsenek még rendesen feltöltve.
Az egyik memóriában mondjuk egy rekesz lesz használva. (bemenő karakter minta).

A másodikban 20. (minden számjegy * 2)

Tibi


szomb. szept. 27, 2008 13:13
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
A másik memória, az a másik 20 mintás ROM pattern akar lenni ?

Üdv. Zoli


szomb. szept. 27, 2008 13:09
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Én azt hiszem nagyjából értem. Tovább ?

Üdv. Zoli


Sziasztok!

Az első kérdésem az lenne, hogyan tudnám kijelölni a 2 rom címrekeszeit, hogy minden elemet minden elemmel kizáróvagy kapcsolatba hozzon.

Számlálóval terveztem létrehozni a memória címeket. És különböző feltételekkel figyelni mikor léptesse a másik memóriát.

Mondjuk kijelölöm az első memória első címét, ezzel kizáróvagy kapőcsolatba hozom a másik memória összes elemét (végigléptetem a második memóriát), és ha végigment növelem az első memória címét.

Ezt két számlálóval gondoltam megvalósítani, de elég nyakatekert és pontatlan megoldásnak gondolom.

Nem lenne erre egyszerűbb, szabvány alkatrészekből megvalósítható megoldás?

http://tarkabab02.tvn.hu/felismeres_03.rar


Tibi


szomb. szept. 27, 2008 12:32
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Én azt hiszem nagyjából értem. Tovább ?

Üdv. Zoli


Holnap délelőtt jelentkezek, befutott egy határidős munka....


pén. szept. 26, 2008 20:33
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Én azt hiszem nagyjából értem. Tovább ?

Üdv. Zoli


pén. szept. 26, 2008 20:24
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Szia Tebee !

Szerintem ird ide, hogy miben kellene segítség és aki tud, az fog segíteni. Hiszen ezért is van ez a forum. Nem ? Különben is, több szem többet és másféleképpen lát. Ha olvastad a korábbi üzenetek, láthattad, hogy forrást is lehet beidézni. Úgyhogy hajrá.

Üdv. Zoli


Természetesen beírom a problémákat. Csak örülnék, ha lenne valaki aki szinkronba lenne a munkámmal.Az az érzésem, hogy elég hamar bonyolult lesz a téma.

Összedobtam egy doksit gyorsan, lehet néhol érthetetlen, de ha kérdeztek pontosítok.

http://tarkabab02.tvn.hu/01.doc

Köszönök mindent!


pén. szept. 26, 2008 19:46
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia Tebee !

Szerintem ird ide, hogy miben kellene segítség és aki tud, az fog segíteni. Hiszen ezért is van ez a forum. Nem ? Különben is, több szem többet és másféleképpen lát. Ha olvastad a korábbi üzenetek, láthattad, hogy forrást is lehet beidézni. Úgyhogy hajrá.

Üdv. Zoli


pén. szept. 26, 2008 19:29
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás egy kis project!
Sziasztok!

Érdeklődnék, hogy lenne-e valaki aki tudna nekem segíteni egy kisebb projectben.

A helyzet az, hogy kezdő vagyok. Azt kidolgoztam, hogyan kellene működnie a dolgoknak, csak nagyon gyakran elakadok. Kellene valaki aki még ha csak hobbi szinten is üzi a vhdl-t, de vállalná hogy belenéz a munkámba és segberúg (virtuálisan), ha hülyeséget kezdek csinálni.

Magyarul mesteremet keresem :)

Tibi


pén. szept. 26, 2008 18:03
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás Re: Bidirectional pin létrehotása
Udv

ictboy írta:
Üdv Mindenkinek!

2 irányú adatforgalom lesz, és nincs lehetőségem külön vezérelni az irányt ebben a 'digitális útválasztóban'.

Ictboy


Szerintem DIR_SELECT nelkuli teljes atlatszosagot csak OC kimeneten pull-up okkal lehet megoldani, pl igy:
http://www.chipcad.hu/publikac/cchir67.htm

Imi


szer. szept. 17, 2008 8:31
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: szomb. nov. 18, 2006 13:48
Hozzászólások: 16
Tartózkodási hely: Győr
Hozzászólás Bidirectional pin létrehotása
Üdv Mindenkinek!

Van egy érdekes problémám, amit nem tudok, hogyan megoldani.

adott 2 láb, nevezzük A illetve B lábnak, az a feladat, hogy az egyik lábra rákapcsolt digitális jel a másik lábon legyen.

Ugye ha A a bemenet B a kimenet, akkor egyszerű:

B <= A;

vagy ha B a bemenet, A a kimenet, akkor

A <= B;

Meg lehet-e VHDL-ben oldani, hogy nekem ez a két láb úgy legyen összehuzalozva, hogy mindkét irányba vigye át a jelet, de ne kelljen kiválasztanom egy DIR_SELECT lábbal, hogy melyik irányba menjen ???

Egy olyan kódot írok, ahol van mondjuk 8 lábam, és egy FPGA segítségével ezt a 8-8 lábat bárhogy lehet huzalozni egymással, egy külső setup program mondja majd meg, mit hova kössön.
A gond az, hogy lehet, hogy néhány lábon 2 irányú adatforgalom lesz, és nincs lehetőségem külön vezérelni az irányt ebben a 'digitális útválasztóban'.

várom az ötleteket,
köszi:

Ictboy


szer. szept. 17, 2008 5:19
Profil Privát üzenet küldése Honlap
arany tag

Csatlakozott: szomb. máj. 06, 2006 9:37
Hozzászólások: 131
Hozzászólás 
Gratulalok !

Nalam teljesen leallt egyelore a dolog. Jo a forum, mert motival es sokat lehet itt tanulni.


csüt. szept. 11, 2008 12:50
Profil Privát üzenet küldése YIM
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás 
Szia.

gtk írta:
Igy befagyott ez a topic? :(


Hát nem sokan vagyunk, de azért nem halt be. Már nekem is megvan a SPARTAN 3E Starter-om a ChipCAD-től (nem mertem Altera-t választani). Gtkmm-ben kell progiznom linux-ra ezerrel, a Starter KIT-ben még csak nyomógomb pergésmentesítést/számlálót/RS232 -ot tudtam csinálni, csak erre volt időm.

Imi.


kedd szept. 09, 2008 11:41
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

Én per-pillanat mással vagyok nagyon elfoglalva, lakásátrendezés, helyiségek kiiktatása a fütésből, költözés stb..... Sajnos a havi mérlegem kezd negativba fordulni :( . A betervezett, megálmodott Altera boardból sem lesz semmi. Meg a másikból sem. :( . Egyelőre.

Na, ez sem FPGA volt. Bocs. Hullámvölgy van. (Vagy lejtő ?).

Ne válaszoljatok!

Üdv. Zoli


hétf. szept. 08, 2008 19:04
Profil Privát üzenet küldése
arany tag

Csatlakozott: szomb. máj. 06, 2006 9:37
Hozzászólások: 131
Hozzászólás 
Igy befagyott ez a topic? :(


hétf. szept. 08, 2008 18:26
Profil Privát üzenet küldése YIM
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Szia!

Új üzenetbe irj, mert igy nem kapok értesítést, ha csak módosítasz.

A "seven1.vht" -ben is ez a név szerepel "seven_vhd_vrc_tst" ? Nem elirás ? Én nálam az exportra "seven_vhd_vec_tst"-t generál a forrásba. Idönként érdemes a generált forrásokat is tanulmányozni, értelmezni. Hasznos lesz.

Üdv. Zoli


Szia!

Elnézést a bénázásért!
tényleg a név volt elírva...
Ismerkedek a szimulációval egy picit.

Köszönök minden eddigi segítséget.

Tibi


vas. aug. 10, 2008 12:12
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Ja, project név nálami is maradt 18 .

Üdv. Zoli


vas. aug. 10, 2008 11:34
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia!

Új üzenetbe irj, mert igy nem kapok értesítést, ha csak módosítasz.

A "seven1.vht" -ben is ez a név szerepel "seven_vhd_vrc_tst" ? Nem elirás ? Én nálam az exportra "seven_vhd_vec_tst"-t generál a forrásba. Idönként érdemes a generált forrásokat is tanulmányozni, értelmezni. Hasznos lesz.

Üdv. Zoli


vas. aug. 10, 2008 11:30
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
Szia!

Köszönöm a segítséget!

Este kipróbálom és jelentkezek!

Tibi

UP1:
Kipróbáltam, a leírásod tökéletesen működik.
Az idő tényleg nem tetszett neki.
A vége körülk ezt a hibaüzenetet kaptam.


Idézet:
# vsim -t 1ps -L lpm -L altera -L altera_mf -L sgate -L cycloneii -L work seven_vhd_vrc_tst
# vsim -L lpm -L altera -L altera_mf -L sgate -L cycloneii -L work -t 1ps seven_vhd_vrc_tst
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: Failed to find design unit work.seven_vhd_vrc_tst.
# Optimization failed
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./18_run_msim_rtl_vhdl.do PAUSED at line 44



Nem teljesen értem, miért 18-al kezdődik a file neve, mert mindent átalakítottam. A project névben maradt csak. Az bezavarhat?
Vagy esetleg más a hiba.

Nincs rá tipped véletlen?


pén. aug. 08, 2008 5:36
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia !

Alaptanfolyamot sajnos én nem tudok tartani, nem vagyok szakember, csak hobbi ez nekem.
Kimeneti freki. Minek a kimeneti frekije ? Az előosztóé ?. Az sajna szerintem felejtős. Vagy mégsem? Xilinx-es tapasztalataim alapján ekkora hosszú waveformot képtelenség csinálni (bár, nem ilyen egyszerü projektel próbáltam). Egy 250Mhz-es CLK-nál 40ms, már órákat kelett várnom az átméretezés után és bele is halt a végén.
Megbróbáltam Quartus alatt, azt az 1s-es end time-ot beállitani és adni neki órajelet, meglepően gyorsan csinálja. Ok, ez megy itt Alteráéknál.
Na, megy a szimuláció is csak a 1000000000000 ps a testbench-ben át kell írni 10000000 us re. A ps nem fér bele az INTEGER tartományba. Le is szimulálja kb. 15 prec 1200-as Tualatinon :(. De, ezt már csak kiváncsiságból csináltam igy. Én azt az előosztó számlálót leredukálnám pl 125-re és röviden szimulálnám.


http://web.axelero.hu/ampeethe/wave.gif

Szimuláció:
Elnevezésekben, ne használj kezdőbetünek számot. Lehet a szintézer elfogdja, de a szimulátor anyázik.
Módositsd az top entitás elnevezését "18" ról pl "seven"-re. Assignments\Settings\General\Top-level entity = "seven"

A szimulációhoz kellene a top entitás vhdl forrása. Nálad bdf-ben van a top entitás. Sajnos sehol nem találtam opciót arra, hogy ezt a vhd file formában le is gyártsa. Ezért a bdf fileból a File\Create/Update\Create HDL Design File for Current file opcióval megcsináltam a VHDL forrást, ami a top entitást tartalmazza. A bdf-et eltávolitottam a seven.vhd-t hozzáadtam Assignments\Settings\Files.

Testbench is kell a szimulációhoz. A waveform kreálással kell kezdeni.
File\New\Other files\Vector Waveform File. Edit\End Time = 1.0 s
Insert Node or Bus, Node finder-el a szignalokat felrakni. Órajelet adni az órajel bementre jobb click Value\Clock. Reset jelet is beálltani.
Ha, kész Save, File\Export\ seven1.vht . Ebben van a testbench VHDL forrása.

Szimuláció beállitása:

Assignments\Settings\EDA Tool Settings\ Tool Name "ModelSim-Altera"
\NativeLink settings->Compile test bench.
Test Benches gombra rábökni, New\Test bench name\ "tb_seven"
\Top level modul in test bench\ "seven_vhd_vec_tst"
\Design instance name in test bench\ "i1"
\Test bench files\ "seven1.vht" ( amit a korábban a waveformból csináltunk)

A szimulátor miatt, az alábbi definiciót használd az ora_oszto.vhd file-ban.
A bit-et anyázza.

Kód:
entity c1hz is
port( clk :IN STD_LOGIC; clkout :OUT STD_LOGIC);
end c1hz;


Compile

Tools\EDA Simulation Tool\ Run EDA RTL Simulation

Ha a nagy ps időre error-ozik, akkor dupla katt a piros hibaüzenetre, megjelenik a forrás, javitsd ki us-re ( /1000000 ). Recompile, ismét javitsd az időt. Recompile, ha jó, a Workspace\Library\Work\ "seven_vhd_vec_tst" entitásra dupla katt.
Bejön a szimulációs ablak, i1 instance kiválaszt, Add\Add to wave és amit még akarsz látni rakd fel, majd Simulate\Run\ Run -All

Remélem nem hagytam ki semmit. Én Q7.2, M6.1g alatt próbáltam.

Üdv. Zoli


csüt. aug. 07, 2008 20:04
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Szia !

Ha, a RESET undefined, akkor borul minden. Adtál neki gerjesztést ?

Üdv. Zoli


Szia!

Elnézést, hogy nem írtam eddig.
A resetnek volt érték adva, de nem moccant semmi.
Összeraktam 1 project-et. Úgy működik, ahogy szerettem volna (egy hétszegmenses kijelzőt vezérel).

http://tarkabab02.tvn.hu/18.rar

Ha van egy kis időd, tartanál egy alaptanfolyamot, hogyan lehetne ezt szimulálni?
A funkcionalitás érdekelne. Illetve a kimeneti jelek frekvenciája.

Sajnos az önképzési tehetségem elakadt.

Tibi


kedd aug. 05, 2008 20:00
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia !

Ha, a RESET undefined, akkor borul minden. Adtál neki gerjesztést ?

Üdv. Zoli


szer. júl. 30, 2008 18:01
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Szia !

Mi a probléma a license-el ? Ird le bővebben, hátha tudok segiteni.
A Modelsim-ével van probléma ?

Üdv. Zoli


Szia!

A feltelepített program hibát dob, hogy a 2 környezeti változót állítsam be (be is állítottam). Az altera-tól email-ben kapott kódsorral. A quartus megy is vele, a modelsim nem.
Lehet nem ugyan az kellene a kettőhöz, de csak 1 license kérési linket találtam. (vagy csak szimplán béna vagyok)

Töltöttem a netről egy Modelsim SE PLUS 6.3f -et. mellékelten volt keygen. Ez így most tökéletesen megy. (beindult). Most kezdtem tanulmányozni a doksiját, hogyan is kell használni...

Tebee

UP1. Ha van egy kis időd velem foglalkozni légyszíves nézd meg a belinkelt képet. Azt nem tudom előcsalogatni, hogyan lehet beélesíteni a kimenetet.. A bemenetre tudtam értéket állítani, de azt nem követi a kimenet.

Köszönök mindent!

http://tarkabab02.tvn.hu/msim.jpg


kedd júl. 29, 2008 15:47
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia !

Mi a probléma a license-el ? Ird le bővebben, hátha tudok segiteni.
A Modelsim-ével van probléma ?

Üdv. Zoli


kedd júl. 29, 2008 14:50
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás 
eltexto írta:
Hali Tebee!

ModelSim-Altera

http://www.altera.com/products/software/products/model/eda-ms.html

Quartus-ban van rá menüpont a használathoz.

Üdv. Zoli


Köszönöm!
Küzdök vele. Megkaptam a DVD-n 2 félét is, de a licensz nem jön össze. Pedig próbáltam, ahogy le van írva a weben.
Próbálkozok. :)

Tebee


kedd júl. 29, 2008 8:00
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali Tebee!

ModelSim-Altera

http://www.altera.com/products/software/products/model/eda-ms.html

Quartus-ban van rá menüpont a használathoz.

Üdv. Zoli


hétf. júl. 28, 2008 20:36
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: hétf. júl. 28, 2008 15:19
Hozzászólások: 39
Hozzászólás vhdl szimuláció
Üdvözlök mindenkit!

Kezdő vagyok a témakörben, és egy kis segítségre lenne szükségem. Cylone II fejlesztőkörnyezetem van és mellé szoftverként Quartus II.
Egy olyan szimulációra lenne szükségem, melynek segítségével a kimeneti porton tudnám mérni a jel frekvenciáját.

vagy esetleg egy teljesen független szimulátor is jó lenne, amit rá tudnék engedni a VHDL kódra.

Próbálgattam a Quartus opcióit, de elvesztem bennünk. Az alapdolgok mennek, de itt megállt a tudományom.

A segítséget előre is köszönöm!

Tibi


hétf. júl. 28, 2008 15:43
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás 
eltexto írta:
Szia Imi!

Nincs kit-em, én is még csak szemezgetek vele. A Software-t is csak azért ügyeskedtem fullra, hogy ha mégis adódna valami, akkor ez is ott van e mint lehetőség. A 150 napossal sokszor forditottam nem volt gond az említett LE-ig. Csak azért próbáltam, mert amivel kisérleteztem és egyre több funkcióval ruháztam fel, a sebesség is egyre csökkent a Spartan-on. Persze más utat is kipróbáltam, a Synplify-vel forditottam a Spartan-ra, sokkal jobb eredmény lett a sebesség tekintetében. De a legjobb eredményt a QuartusII hozta, CycloneIII-on. Persze ezt nem tudtam kipróbálni, csak a report eredmények összehasonlitására tudtam támaszkodni.

Nios. Hát csak belenéztem a SOPC builderbe, de nem foglalkoztam mélyebben vele. Mint korábban irtam, én is kezdőnek számítok még és csak hobbi szinten müvelem a témát.

Advisor. A sok opció közül, megmutatja melyik opciót mire kell állítani, hogy a legnagyobb órajelet, a legkisebb fogyasztást, a legrövidebb compilálási időt.... stb kapjad eredményül.

Üdv. Zoli


Ok. Hát én még nem nagyon tudom, hogy melyik legyen, sok szempont van, amit figyelembe kell venni.

Most ezt találtam:
http://www.altera.com/products/software/products/quartus2web/features/sof-quarweb_features.html

Ez alapján a "Cyclone® III" minden tagját tudja. A licensz okés, 150 nap után újra kapható free licensz, nem írja, hogy ezt ne lehetne n-szer ismételni.

Ezen kivül 7 Feature-nél hiányban szenved.
Ezeket nem tudom most megítélni, hogy nekem ez rossz-e. Nyilván ezzel kellene sokat foglalkozni, hogy ezt meg tudjam ítélni.

Ha választasz KIT-et, el ne feledd velünk ezt megosztani.

Köszi.

Imi.


hétf. jún. 23, 2008 20:51
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Szia Imi!

Nincs kit-em, én is még csak szemezgetek vele. A Software-t is csak azért ügyeskedtem fullra, hogy ha mégis adódna valami, akkor ez is ott van e mint lehetőség. A 150 napossal sokszor forditottam nem volt gond az említett LE-ig. Csak azért próbáltam, mert amivel kisérleteztem és egyre több funkcióval ruháztam fel, a sebesség is egyre csökkent a Spartan-on. Persze más utat is kipróbáltam, a Synplify-vel forditottam a Spartan-ra, sokkal jobb eredmény lett a sebesség tekintetében. De a legjobb eredményt a QuartusII hozta, CycloneIII-on. Persze ezt nem tudtam kipróbálni, csak a report eredmények összehasonlitására tudtam támaszkodni.

Nios. Hát csak belenéztem a SOPC builderbe, de nem foglalkoztam mélyebben vele. Mint korábban irtam, én is kezdőnek számítok még és csak hobbi szinten müvelem a témát.

Advisor. A sok opció közül, megmutatja melyik opciót mire kell állítani, hogy a legnagyobb órajelet, a legkisebb fogyasztást, a legrövidebb compilálási időt.... stb kapjad eredményül.

Üdv. Zoli


hétf. jún. 23, 2008 19:04
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás 
eltexto írta:
Hali !

A weblapon minden fent van, a low cost FPGA,CPLD-ket támogatja ( és még néhányat felette), de néhány opció nem müködik (meg kell nézni melyik). 150 nap után meg kell újítani a licenset. Hogy hányszor lehet, nem tudom.
Nekem 7.2 SP2 van fent (Full), de a 150 napossal is fordítottam kb 2700 LE-t. Nekem kezelhetőbbnek, satbilabbnak tünt, mint az ISE. Viszont nem sikerült olyan szépen egyidőben váltó jelalakokat kapnom mint a Spartan-nál a regiszterek IO blokkba helyezésével. Itt is van rá attr., de nálam nem volt hatása csak a címbuszoknál, a bidir data élei 1-2ns csúszkáltak.
Nagyon nagy segitség a 6 féle Advisor ( Resource, Timing, Power, Incremental, Compilation), aminél végivezet az opció beállítások között, hogy a legjobb eredményt kapd a kiválasztott szempont szerint.

Üdv. Zoli


Szia

Köszi a gyors választ. Megyek nézni altera.com-ot.
A Full verziót melyik KIT-hez kaptad ?
Akkor te tudsz "Nios II" procit is beletenni, ugye ?

A 6 féle Advisor egyenlőre nekem magas, fogalmam sincs, hogy mire jók :D

Imi.


hétf. jún. 23, 2008 18:26
Profil Privát üzenet küldése
arany tag

Csatlakozott: pén. aug. 24, 2007 17:10
Hozzászólások: 211
Tartózkodási hely: Tolna
Hozzászólás 
Hali !

A weblapon minden fent van, a low cost FPGA,CPLD-ket támogatja ( és még néhányat felette), de néhány opció nem müködik (meg kell nézni melyik). 150 nap után meg kell újítani a licenset. Hogy hányszor lehet, nem tudom.
Nekem 7.2 SP2 van fent (Full), de a 150 napossal is fordítottam kb 2700 LE-t. Nekem kezelhetőbbnek, satbilabbnak tünt, mint az ISE. Viszont nem sikerült olyan szépen egyidőben váltó jelalakokat kapnom mint a Spartan-nál a regiszterek IO blokkba helyezésével. Itt is van rá attr., de nálam nem volt hatása csak a címbuszoknál, a bidir data élei 1-2ns csúszkáltak.
Nagyon nagy segitség a 6 féle Advisor ( Resource, Timing, Power, Incremental, Compilation), aminél végivezet az opció beállítások között, hogy a legjobb eredményt kapd a kiválasztott szempont szerint.

Üdv. Zoli


hétf. jún. 23, 2008 18:11
Profil Privát üzenet küldése
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás 
Sziasztok !

Nyomoztam egy kicsit Altera FPGA ügyében.

Felhívtam EBV-t, alkatrész ügyileg csak a kiszerelési egység méretben állnak velünk szóba. A RET-et, és a lomex-et ajánlották. Persze egyiknél sincs az árlistájuk alapján (telefonon még nem beszéltem velük). Viszont START-er KIT-et adnak, mivel ez ugye darabos tétel (ki kell tölteni egy céges formanyomtatványt, amely alapján regisztrálnak).

Pl.
Cyclone III FPGA Starter Kit - 136,29 Euro.
2 nap alatt itt van a német raktárról.

Ezen egy "Cyclone III EP3C25F324" FPGA található. Ebben 25K LE van vagyis akkor kb 2,5X annyi, mint mondjuk egy Spartan3E 500-asban. Ez jól hangzik, ugyanakkor:

- sem soros, sem VGA, sem Ethernet, sem LCD nincs rajta alapból, ezek közül néhány megvehető plusz kiegészítő kártyaként egy "HSMC" nevű csatlakozón keresztül köthetőek össze.
- ezek a kártyák drágák, így a fentin kívül jókora +költség
- tudni kellene, hogy a SW-es oldalról a WEB Edition kiadások mennyire korlátozottak

Imi.


hétf. jún. 23, 2008 11:30
Profil Privát üzenet küldése
arany tag

Csatlakozott: szomb. máj. 06, 2006 9:37
Hozzászólások: 131
Hozzászólás 
Ugyesen ki vannak ezek a dolgok talalva. Jo uzleti huzas, emliti is, ha nagyobb programtar kell, ott a microblaze,..Nem mintha nem lehetett volna legalabb 8k-s a pb. A m.b ugyis mas tal teszta...

Udv.


szomb. jún. 21, 2008 21:58
Profil Privát üzenet küldése YIM
ezüst tag

Csatlakozott: pén. dec. 28, 2007 16:54
Hozzászólások: 33
Tartózkodási hely: Vas megye
Hozzászólás 
Szia.

gtk írta:
jym írta:
Konkrétan mekkora ez a programtár ? És ez a Spartan-ban lesz tárolva, vagy lehet külső FLASH-t tenni, és akkor abba kerül ?

Ha jol tudom 1k utasitas tarban van korlatozva, es altalaban blockram-ot hasznalnak programtarnak.

Udv.


Ajajj, 1K ? :shock:

Akkor valami más megoldást kell keresnem :(

Imi.


szomb. jún. 21, 2008 21:40
Profil Privát üzenet küldése
arany tag

Csatlakozott: szomb. máj. 06, 2006 9:37
Hozzászólások: 131
Hozzászólás 
jym írta:
Konkrétan mekkora ez a programtár ? És ez a Spartan-ban lesz tárolva, vagy lehet külső FLASH-t tenni, és akkor abba kerül ?

Ha jol tudom 1k utasitas tarban van korlatozva, es altalaban blockram-ot hasznalnak programtarnak.

Udv.


szomb. jún. 21, 2008 21:00
Profil Privát üzenet küldése YIM
Hozzászólások megjelenítése:  Rendezés  
Hozzászólás a témához   [ 915 hozzászólás ]  Oldal Előző  1, 2, 3, 4, 5 ... 19  Következő

Ki van itt

Jelenlévő fórumozók: nincs regisztrált felhasználó valamint 10 vendég


Nem nyithatsz témákat ebben a fórumban.
Nem válaszolhatsz egy témára ebben a fórumban.
Nem szerkesztheted a hozzászólásaidat ebben a fórumban.
Nem törölheted a hozzászólásaidat ebben a fórumban.

Keresés:
Ugrás:  
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group.
Designed by ST Software for PTF.
Magyar fordítás © Magyar phpBB Közösség